[ 新規に投稿する ]

VHDL2008対応No.38678
tama_squire さん 21/01/22 15:38 [ コメントを投稿する ]
  VHDL2008ではC言語と同様の/* 〜〜〜〜 */という複数行コメントに対応されています。

それに合わせてファイルタイプ別の設定で「ユーザー定義」を選択して開始文字列、終了文字列を指定すると今度は"--"で始まる1行コメントがコメント扱いされなくなってしまいます。
設定方法をご教授いただくか、VHLD2008のコメント設定ファイルを作っていただけるとありがたいです。
よろしくおねがいします。
[ ]
RE:38678 VHDL2008対応No.38679
秀丸担当 さん 21/01/22 16:18 [ コメントを投稿する ]
 
複数行コメントで一行コメントを定義するには、単一行に指定する方法があります。
まず、ユーザー定義を追加して、開始文字列は「--」にします。
「オプション(O)>>」ボタンを押すと、右側に「単一行(行末まで)」があるので、これを選びます。
そうしておくと、終了文字列は書かなくてもよくなって、単一行になります。

あと、文字列も追加するとしたら、""については「"」から「"」までですが、「'」については独特の使い方があるようなので、そのままではできないと思います。
開始文字列を「'.'」にして、「正規表現」をONにして、「オプション(O)>>」の「単一の文字列」にしておくといいです。
[ ]
RE:38679 VHDL2008対応No.38681
tama_squire さん 21/01/23 08:52 [ コメントを投稿する ]
  ありがとうございます。
できました!

>
> ......
>開始文字列を「'.'」にして、「正規表現」をONにして、「オプション(O)>>」の「単一の文字列」にしておくといいです。
[ ]

[ 新規に投稿する ]